亚洲在线久爱草,狠狠天天香蕉网,天天搞日日干久草,伊人亚洲日本欧美

為了賬號安全,請及時綁定郵箱和手機立即綁定
已解決430363個問題,去搜搜看,總會有你想問的

不太清楚這個定義哪里錯了求幫忙改改

不太清楚這個定義哪里錯了求幫忙改改

PHP
Cats萌萌 2023-02-17 17:13:48
module sw(input wire [9:0] hc,input wire [7:0] sw,output reg [7:0] m[0:199],input wire [7:0] n[0:199]);wire [7:0] i;always @(posedge hc[9])begin for (i=0;i<199;i=i+1)m[i]=n[i+1];m[199]=sw ;endendmoduleERROR:HDLCompilers:26 - "sw.v" line 26 expecting ')', found '['ERROR:HDLCompilers:28 - "sw.v" line 33 'm' has not been declaredERROR:HDLCompilers:28 - "sw.v" line 34 'm' has not been declared
查看完整描述

2 回答

?
千巷貓影

TA貢獻1829條經驗 獲得超7個贊

1. 有 3個4bit數組
2. 一般聲明為 reg [3:0] mem_xx [0:2],注意順序。
3. 數組的初始化可用readmemh和readmemb
4. 使用時直接mem_xx[2] = 4'bxxxx這樣就好。

查看完整回答
反對 回復 2023-02-20
?
蕪湖不蕪

TA貢獻1796條經驗 獲得超7個贊

不要放在端口定義里面,最好把端口定義,輸入輸出,端口類型分開定義。你這看著太亂了,雖然省地方,但是要養成標準的寫法。還有就是你的for語句用錯了,你在看看for是怎么用的,少一個函數。

查看完整回答
反對 回復 2023-02-20
  • 2 回答
  • 0 關注
  • 118 瀏覽

添加回答

舉報

0/150
提交
取消
微信客服

購課補貼
聯系客服咨詢優惠詳情

幫助反饋 APP下載

慕課網APP
您的移動學習伙伴

公眾號

掃描二維碼
關注慕課網微信公眾號